源码可用,基于DSP28335实现通过spi实现的片外AD7606的数据采集程序
2021-05-08 19:16:30 173KB dsp AD7606
1
AD7606接口设计(串行模式),Vivado仿真工程。
2021-04-30 09:04:44 2.69MB FPGA VerilogHDL Vivado AD7606接口设计
AD7606、AD7606B和AD7606C区别
2021-04-29 17:02:23 3.71MB AD7606
1
int main(void) { //System Clocks Configuration SystemInit(); SCL_IO_Init(); SCL_SPI_Init(); //CAN Configuration SCL_CAN_Init(); EXIT_Configuration(); SCL_IO_DefaultValue(); SCL_TIMEX_Init(); // ADC_Configuration(); // GPIO_SetBits(GPIOD,GPIO_Pin_0);//CS_0(); // GPIO_SetBits(GPIOD,GPIO_Pin_1);//CS_0(); // GPIO_SetBits(GPIOE,GPIO_Pin_5);//CS_0(); while(1) { } }
2021-04-29 11:11:30 21.48MB STM32 SPI AD7606
1
完成了AD7606的操作
2021-04-24 16:03:03 7KB ad7606 verilog
1
F4-029_AD7606数据采集模块例程(For STM32F407)
2021-04-17 15:13:26 2.72MB STM32 F407 ARM AD7606
1
datasheet
2021-04-15 09:04:31 947KB AD7606
1
FPGA读取模数转换芯片AD7606数据并波形显示例程Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。 module top( input clk, input rst_n, input[15:0] ad7606_data, //ad7606 data input ad7606_busy, //ad7606 busy input ad7606_first_data, //ad7606 first data output[2:0] ad7606_os, //ad7606 output ad7606_cs, //ad7606 AD cs output ad7606_rd, //ad7606 AD data read output ad7606_reset, //ad7606 AD reset output ad7606_convstab, //ad7606 AD convert start //vga output output vga_out_hs, //vga horizontal synchronization output vga_out_vs, //vga vertical synchronization output[4:0] vga_out_r, //vga red output[5:0] vga_out_g, //vga green output[4:0] vga_out_b //vga blue ); wire video_clk; wire video_hs; wire video_vs; wire video_de; wire[7:0] video_r; wire[7:0] video_g; wire[7:0] video_b; wire grid_hs; wire grid_vs; wire grid_de; wire[7:0] grid_r; wire[7:0] grid_g; wire[7:0] grid_b; wire wave0_hs; wire wave0_vs; wire wave0_de; wire[7:0] wave0_r; wire[7:0] wave0_g; wire[7:0] wave0_b; wire wave1_hs; wire wave1_vs; wire
DSP控制AD7606的硬件与程序经验总结
2021-04-12 10:19:20 79KB DSP控制 AD7606 硬件 程序经验
1