用verilog语言实现自动售货机。 本代码的输入信号有clk ,money ,sel ,order ,back ,left1 ,left2 ,left3 ,left4。clk代表时钟信号,money代表顾客投入的钱币,sel为顾客选择的货物,order为确认所选货物选项,back为返回初始状态选项,left1为第一种货物的剩余量,left2为第二种货物的剩余量,left3为第三种货物的剩余量,left4为第四种货物的剩余量。 输出信号为money_left ,out_num1 ,out_num2 ,out_num3 ,out_num4。money_left为找零数目,out_num1为第一种货物的出货量,out_num2为第二种货物的出货量,out_num3为第三种货物的出货量,out_num4为第四种货物的出货量。
2021-09-20 22:27:01 2KB verilog 自动售货机
1
(1)用四个发光二极管分别模拟售出价值为5角、1元、1.5元和2元的小商品,购买者可以通过开关选择任意一种标价中的小商品。 (2)灯亮时表示该小商品售出。 (3)用开关分别模拟5角、1元硬币和5元纸币投入,可以用几只发光二极管分别代表找回剩余的硬币。 (4)每次只能售出一种小商品,当所投硬币达到或超过购买者所选面值时,售出货物并找回剩余的硬币,回到初始状态; (5)当所投硬币值不足面值时,可通过一个复位键退回所投硬币,回到初始状态。
2021-09-20 22:12:26 522KB 自动售货机
1
设计一个自动售货机,此机能出售1元、2元、5元、10元的四种商品。出售哪种商品可由顾客按动相应的一个按键即可,并同时用数码管显示出此商品的价格。顾客投币后,按一次确认键,如果投币额不足时则报警,报警时间3秒(可用点阵模拟报警)。如果投币额足够时自动送出货物(送出的货物用相应不同的指示灯显示来模拟),同时多余的钱应找回,找回的钱数用数码管显示出来。
2021-09-20 22:08:48 152KB 自动售货机 verilog 课程设计
1
在linux平台下实现自动售货机基本功能,可投入1元5元,显示屏上显示六个矩形,分别表示六种商品,灰色表示不可买,绿色表示可买,红色表示正在出货。整个程序比较简单,实现功能不多,适合初学者。
2021-09-19 17:01:38 8KB linux 自动售货机
1
基于FPGA的开发技术,设计的是一个自动售货机控制系统,文中详细阐述了设计的思路。
2021-09-15 18:13:47 546KB FPGA 自动售货机 控制系统
1
要求本程序实现一个自动售货机电路,要求有以下功能:    1☆ 机器有一个投币孔,每次只能投入一枚硬币,但可以连续投入多枚硬币,机器能够识别的硬币金额为1元、5角和1角,顾客可选择的饮料价格有1元、1元5角和2元三种。每次只能售出1瓶饮料。
2021-09-14 15:27:47 9KB VHDL 数字电路课程设计
1
设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。 基本要求:按照上面要求,编写程序,实现售单一商品的功能。 扩展要求:在基本要求的基础上,完善电路,实现售多个商品的功能(设定值应该相应增加)
2021-09-14 10:11:29 284KB 自动售货机 VHDL 源码 扩展功能
1
VHDL编写的自动售货机,有退毕,找零功能
2021-09-14 09:48:06 4KB VHDL 自动售货机
1
刚做完的一个实验,传上来分享一下 写的一般,请见谅 原题是麻省理工的一道EDA设计题:设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱 数。 (1)用到有限状态机;(2)用VHDL编程
2021-09-14 09:17:58 227KB 自动售货机 VHDL
1
本文采用VHDL作为工具描述了自动售货机控制模块的逻辑控制电路,并在FPGA上实现。该自动售货机能够根据投入硬币额度,按预定的要求在投入硬币大于规定值时送出饮料并找零。
2021-09-14 09:08:42 80KB VHDL FPGA 自动售货机 文章
1