基于元胞自动机的单双道交通建模Matlab仿真程序
包括环路仿真以及二阶、三阶、四阶无源环路参数计算仿真等。
2022-07-08 09:59:49 7.04MB matlab 开发语言
1
149、电压检测系统(含VB上位机)proteus仿真+程序资料.rar
2022-07-06 16:44:18 152KB 单片机/嵌入式
1
【大杂烩】proteus实例集锦,包括电路图和仿真程序 压缩包中包含以下内容: 128X64液晶显示 12位ad&ds1621&12864lcd 16_16(12) 20LED 2104LCD160128A 2104_LCD 2104_RTC 4x4键盘 4X4键盘显示 51单片机12864大液晶屏proteus仿真 8253可编程定时器计数器 89c2051_computer AAAA51Demo AAAAA51开发板 ad0832 ADC0809 ADC0831 Analog C51pld CRC串行通信 DAC0832 DAC0832模块 DATransform Digital DirectCurrentMachine ds1302时钟 ds18b20_lcd DynamicLED DynamicLED_Key LCDShow LCD_Key%28Interrupt%29 LCD_Key2%28Inquire%29 LCD滚动显示汉字 LED164 LM311比较整形 Max7221动态显示 MEGA32-LCM RunLed Schematic模型创建方法 SerialInput SerialOutPut wzp 串口显示 串口通信 交通灯 动画的继电器 单片机设计2008奥运会 多谐振荡器 字符液晶1602 开发板 播放音乐 数字电压表 数控电压源 时钟温度计 有源滤波 步进电机 汉字显示时间 流水灯 电压电流转换电路 电子琴和倒计时播放音乐 电机控制 窃听器(使用PROTEUS串口例子)V1.0 走马灯
2022-07-05 20:41:40 15.07MB proteu 电路图 仿真程序
1
对ofdm系统的仿真多径衰落信道下OFDM仿真
2022-07-05 11:45:48 2KB OFDM系统仿真 OFDM仿真 ofdm ofdm仿真
MALAB仿真程序,可以根据小卫星轨道高度,产生对应的多普勒频偏。
2022-07-01 17:03:42 2.92MB 多普勒频 MATLAB仿真程序 rayleighchan
【达摩老生出品,必属精品,亲测校正,质量保证】 资源名:AUV惯性导航系统_matlab仿真程序_轨迹生成_gps和sins组合_gps和dvl组合_SINSGPS 资源类型:matlab项目全套源码 源码说明: 全部项目源码都是经过测试校正后百分百成功运行的,如果您下载后不能运行可联系我进行指导或者更换。 适合人群:新手及有一定经验的开发人员
2022-06-27 21:59:51 7.09MB matlab AUV惯性导航系统 gps sins
计算机网络原理仿真程序
2022-06-27 16:03:47 1.11MB 文档资料
步进电机的MATLAB仿真程序,采用了卡尔曼滤波,对定子电流进行估计,并估算出转子的位置和速度
2022-06-27 14:02:19 2KB MATLAB 仿真程序
基于MATLAB的TD-SCDMA通信系统的调制与解调仿真程序设计.docx
2022-06-27 14:00:53 1.32MB 互联网