FPGA设计曼彻斯特编解码Verilog源代码 module md (rst,clk16x,mdi,rdn,dout,data_ready) ; input rst ; input clk16x ; input mdi ; input rdn ; output [7:0] dout ; output data_ready ; reg clk1x_enable ; reg mdi1 ; reg mdi2 ; reg [7:0] dout ; reg [3:0] no_bits_rcvd ; reg [3:0] clkdiv ; reg data_ready ; wire clk1x ; reg nrz ; wire sample ; reg [7:0] rsr ; // Generate 2 FF register to accept serial Manchester data in always @(posedge clk16x or posedge rst) begin if (rst) begin mdi1 <= 1'b0 ; mdi2 <= 1'b0 ; end el
随着MIL-STD-1553B总线在航空航天和军工领域的广泛应用,为了降低该总线的应用成本和提高应用开发的灵活性,设计并实现该通信协议的曼彻斯特编解码器。通过分析1553B协议和曼彻斯特II型码编解码原理,确定出编解码器的整体框架,利用ISE14.1开发环境和Verilog HDL硬件描述语言对其设计实现,通过ISE Simulator和XST进行时序仿真和综合优化,仿真结果验证了设计方案的逻辑功能,最后在Xilinx Spartan6系列XC6SLX16型号FPGA上进行了实现。在深入分析1553B协议的基础上,对编解码器的工作原理、工作过程、逻辑设计及仿真验证进行详细介绍。
1
Xilinx官方提供的慢切斯特编解码,用的是VHDL实现的,代码写的很好,攻城师们还可以多学习下他的代码风格。
2023-01-16 09:04:38 10KB 慢切斯特编解码 FPGA VHDL
1
文中利用可编程门阵列(FPGA)技术的可重构性与灵活性,设计实现曼彻斯特编解码器。通过FPGA分别实现曼彻斯特编解码器的信号产生,编码部分,解码部分3个模块。采用硬件描述语言VHDL完成了编解码器模块设计,使用Quartus II软件和Modelsim软件进行对编译码器进行相应功能仿真及时序仿真。结果表明,所设计的曼彻斯特编译码器的数据传输具有强抗干扰能力,高传输速率,该过程也具有较高的可靠性。
1
曼彻斯特码是一种性能优良的数字基带信号传输码,可以消除直流成分,具有时钟恢复和抗干扰性强的特点,本文通过对传统的解码方案的分析,提出了一种基于时钟提取方案的曼彻斯特码的解码器设计,消除了时钟系统的相位累积误差,结构灵活,性能稳定,同时采用XILINX公司的ISim软件对整个编解码设计进行了仿真,验证了设计方法的可行性和正确性。
2021-07-15 01:08:16 1.63MB 曼彻斯特码; 时钟提取; FPGA; ISim
1
曼彻斯特编解码Verilog代码.zip
2021-05-10 18:21:15 9KB 曼彻斯特编解码 Verilog 代码
1
曼彻斯特编解码,同步等完整的QuartusII工程
2021-04-12 11:32:11 791KB 曼彻斯特 编解码 QuartusII
1