本文介绍的是用VHDL设计汽车尾灯的程序。
2022-06-24 10:46:19 32KB VHDL 汽车尾灯 汽车电子 文章
1
存在6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个开关控制左转弯)。
2022-05-18 20:26:06 107KB vhdl,汽车尾灯
1
根据现代交通规则,汽车尾灯控制器应满足以下基本要求: 1.汽车正常使用是指示灯不亮 2.汽车右转时,右侧的一盏灯亮 3.汽车左转时,左侧的一盏灯亮 4.汽车刹车时,左右两侧的指示灯同时亮 5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用 1.引 言 1 1.1设计的目的 1 1.2设计的基本内容 1 1.3 EDA的介绍 1 1.3.1 EDA技术的概念 1 1.3.2 EDA技术的特点 2 1.3.3 EDA设计流程 2 1.4硬件描述语言(VHDL) 2 1.4.1 VHDL的介绍 2 1.4.2 VHDL语言的特点 3 2.总体设计 4 2.1需求分析 4 2.2汽车尾灯控制器的工作原理 4 2.3 汽车运行状态表和总体框图 5 3.详细设计 6 3.1各组成模块 6 3.2时钟分频模块 6 3.3 汽车尾灯主控模块 6 3.4左边灯控制模块 7 3.5右边灯控制模块 9 4.系统仿真与调试 10 4.1分频模块仿真及分析 10 4.2汽车尾灯主控模块仿真及分析 10 4.3左边灯控制模块仿真及分析 11 4.4右边灯控制模块仿真及分析 11 4.5整个系统仿真及分析 12 4.6 总体设计电路图 12 总结 13 参考文献 14
2021-12-13 21:52:49 227KB EDA VHDL 汽车尾灯控制器
1
计算机组成原理课程设计《基于VHDL汽车尾灯控制器的设计》
1
vhdl汽车尾灯控制 包括左右转,刹车,故障等,基于fpga的汽车尾灯控制系统
2020-01-03 11:16:59 830KB vhdl 汽车尾灯
1
基于VHDL的汽车尾灯控制。基于VHDL的汽车尾灯控制。基于VHDL的汽车尾灯控制。
2019-12-21 20:14:32 318KB VHDL 汽车尾灯
1