次设计在EDA开发平台QUARTUSⅡ6.0上利用VHDL语言设计六人抢答器电路。电路中设有六个抢答键,可供六人同时抢答;我们利用一个二十进制计数器,将其输入频率设定为一赫兹,成功实现了二十秒倒计时的功能;我们利用VHDL语言中的IF和CASE语句结合空操作语句NULL实现开始抢答与超前抢答的区别;各个模块配以一时钟频率由蜂鸣器输出可实现抢答成功、超前抢答犯规、超时抢答等各种情况的报警效果;本设计采用的是杭州康芯电子有限公司生产的GW48系列/SOPC/EDA实验开发系统,FPGA目标芯片型号为Altera公司的Cyclone系列中的EPIC6Q240C8。芯片配置成功后锁定引脚下载即可进行硬件测试:选择实验电路结构图NO.5,使CLK1与CLKOCK5相接(接受1024Hz时钟频率),CLK 与CLOCK0相接(接受1Hz时钟频率),报警输出接SPEAK,六位选手分别对应实验箱上的1~6键,键7为抢答开始键,当其未按下就进行抢答则为超前犯规,按下后二十秒倒计时开始,选手进行抢答,按实验箱上的复位键则可重新开始下一轮的抢答。
2022-11-27 12:28:01 380KB FPGA VHDL 抢答器
1
数字抢答器的VHDL设计代码 数字抢答器的VHDL设计代码
基于VHDL语言的8路抢答器设计,有复位信号,超时报警,抢答报警,抢到显示台数等功能。
2022-05-20 20:30:50 261KB VHDL 抢答器
1
基于FPGA的抢答器,VHDL语言设计。 人数任意设置,倒计时任意设置,分频系数任意设置。 数码管显示抢答编号。 蜂鸣器提示。 代码含中文注释。
2021-06-13 12:30:57 5KB fpga/cpld vhdl 抢答器 quartus
1
vhdl中抢答器设计和交通灯控制实用程序
2021-05-18 16:25:07 2.28MB vhdl 抢答器 交通灯
1
VHDL抢答器 带顶层设计 自己答辩用 绝对好使
2019-12-21 22:09:28 255KB VHDL 抢答器 顶层设计
1