verilog实现的数字钟+万年历,可以整点报时、调整时和分,秒可以清零,按键切换显示状态,可以显示年月日,也可以显示星期。
1
基于Verilog HDL及DE2开发板的数字钟设计,使用Verilog HDL实现
1
verilong 数字钟代码 24小时制,可现实星期,仿真通过
2022-05-13 19:33:41 1KB verilog 数字钟
1
这是verilog的数字钟代码,功能齐全,有两组闹钟,整点报时,年月日时分秒,还有两种模式的秒表
2021-12-26 15:26:05 7.82MB verilog
1
自己写的一个数字钟程序,仿真通过且实机烧录通过,现发出来供大家学习
2021-12-10 10:32:15 4KB FPGA Verilog
1
数字钟有闹钟、时间设定、秒表等功能,Verilog编写,分配引脚后可直接使用
2021-11-04 15:58:14 2.45MB 数字钟 Verilog
1
1)能够用数码管或液晶屏显示时、分和秒,采用24小时进制; 2)具有校时功能,可以对小时和分单独校时,对分校时时,停止向小时进位; 3) 3)具有闹钟功能,闹钟铃声为自主设计的用蜂鸣器演奏的音乐,音乐演奏时间可以任意设置; 4)具有秒表模式,可进入秒计时,精度为0.01秒;
2021-09-11 09:10:27 2.69MB verilog 数字钟 fpga
1
共23页。 用Verilog编写的数字钟与汽车尾灯模块。其中数字钟具有时间显示的基本功能,按键校时校分,闹钟模块(包含校时校分),仿电台报时(四低一高),整点报时,12-24显示切换等强大功能。汽车尾灯用四个按键模拟左转,右转,刹车和倒车选择;六个LED模拟尾灯在不同行驶状态下的显示情况。两个实验均包含详细的原理及代码,且有时序仿真图和模块生成图。共23页,可作为课程设计的参考模板。
2021-07-08 17:57:49 425KB Verilog 数字钟 汽车尾灯 实验报告
1
数字钟设计,包括万年历,闹钟,倒计时,秒表 进制转换.内含报告文档,代码注释,模块解析
2021-07-04 08:56:37 4.21MB verilog 数字钟 quartus 秒表
1
大学数电实验报告,使用quartus ii软件编写verilog代码实现数字钟,有计时,校准,复位,闹钟,报正点数,时制切换功能
2020-01-03 11:28:07 396KB verilog 数字钟 代码 报告
1