verilog语言编写的dds信号发生器,可以实现波形变换(方波、正弦波、三角波、锯齿波)、频率变换,整幅变换,三大主要功能
1