我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ
1
正弦波发生器 Verilog 完整的工程文件,测试能用!
2021-05-21 16:42:09 988KB Verilog 正弦波发生器
1
用verilog写的正弦波发生器,利用DDS原理,先生成一个ROM表
2021-04-21 15:32:34 22KB verilog 正弦波
1
Verilog语言生成正弦波Verilog语言生成正弦波Verilog语言生成正弦波Verilog语言生成正弦波
2019-12-21 20:38:14 5.68MB Verilog 正弦波 dds FPGA
1