基于zynq7020的通过VDMA读取ov5640摄像头数据并显示的完整工程,本工程在正点原子的例程基础上进行了简化和优化,删除了非必要的模块,并添加了大量中文注释,增强了工程的可读性
2023-06-08 21:36:51 69.03MB zynq fpga vdma ov5640
1
Xilinx官方文档;Many video applications require frame buffers to handle frame rate changes or changes to the image dimensions (scaling or cropping). The AXI VDMA is designed to allow for efficient high-bandwidth access between the AXI4-Stream video interface and the AXI4 interface.
2022-07-22 20:43:11 1.56MB vdma ProductGuide
1
Xilinx ZC702套件下使用VDMA IP核的图像处理例程。
2022-03-01 15:01:28 3.86MB Zynq VDMA
1
米联客2020版图像处理 MPSOC ZYNQ 开发宝典
2021-12-16 10:01:16 27.04MB MPSOC ZYNQ 图像处理 VDMA
1
zynq7020+ov7725摄像头工程文件,实测没问题。基于vdma的图像处理系统的搭建S03_CH03_AXI_DMA_OV7725.zip,可用于hls高层次综合图像处理算法的搭建
2021-09-27 11:52:09 87.27MB zynq7020+ ov7725摄像头
1
zedboard开发板开发工程:通过vdma从ddr中取出数据
2021-09-17 20:23:45 29.95MB ddr vdma
1
pg020_axi_vdma.pdf
2021-07-25 15:01:15 2.19MB zyna VDMA
1
包含快速建立hdmi_vdma工程的tcl,建立工程用得到的IP核,时序约束文件,输出显示文字的库函数,SDK源码,适用于PYNQ_Z2,建议尽量使用2019.1版本的vivado
2021-07-06 14:51:59 2.78MB pynq_z2 tcl vivado hdmi_vdma
1
基于Zynq 7000实现ADV7125彩条VGA显示的工程,调用了PS和PL,使用Video Timing Controller+VDMA+AXI4-Stream Video Out实现VGA视频的显示彩条。ADV7125是自己用转接板手焊的测试板,感兴趣的可以自己搭一下,很简单。(这个不太懂的可以再看我的另一条上传,实现的纯PL的彩条实现,简单很多)
2021-04-28 16:49:01 32.45MB Zynq VDMA
1
本工程是用ZYNQ7000系列搭建了一个VDMA系统,并用这个系统对OV5640进行采集,通过HDMI接口投射到显示屏上。这个文件包含了整体工程,通过一个word文档进行了一些基本的介绍,比如介绍了搭建VDMA的连线规则、很详细的SCCB图解时序等。
2021-04-26 08:07:37 74.48MB ZYNQ OV5640 VDMA HDMI
1