代码是伪随机数生成和检测的模块,用于通信行业的FPGA编程。包括VHDL和Verilog两种语言的版本。用于做接口测试。
PRBS 基于 3 到 9 位内存延迟并输出 2^3 -1 到 2^9 -1 二进制序列长度。 用途:这些发生器可用于过程识别作为命令信号的噪声源。
2022-03-11 10:58:08 38KB matlab
1