nc verilog users' guide
2022-12-13 09:50:17 784KB ncverilog verilog
1
NC_Verilog使用说明.pdf 包括实验lab 使用详细说明以及界面
2022-06-24 14:55:44 597KB NC_Verilog
1
NC可用于数模混合仿真,即用verilog语言给画的电路图添加输入激励信号,然后查看输出信号,以验证电路是否正确。。
2021-12-03 09:02:19 506KB Cadence NC verilog 仿真
1
这是Candence下的仿真工具,很难才找到的。
2021-07-26 16:52:52 10.9MB ic仿真工具 nc_verilog
1
cadence ius最后一个XP系统下运行的版本
2021-07-18 10:26:27 451KB NC-verilog;cadence IUS5.4
1
这个手册将向你介绍使用 NC-Verilog simulator 和 SimVision。 本文使用的是一个用 Veilog 硬件编程语言编写的一个饮料分配机,通过这个例 子你将学会nc-verilog的基本使用方法
2019-12-21 22:06:19 1.09MB nc-verilog 应用学习
1
NC-Verilog快速入门的详细图文教程,适合于初学者学习
2019-12-21 21:56:24 932KB NC-verilog
1
Cadence NC-Verilog Simulator Help
2019-12-21 20:14:19 10.81MB Cadence NC-Verilog Simulator Help
1
NC-Verilog 中文教程 48页的入门教程ppt 共48页 含附图
2019-12-21 20:14:19 3.75MB NC-Verilog 中文教程
1
这个手册将向你介绍使用NC-Verilog simulator和SimVision
2019-12-21 19:57:48 1023KB NC-Verilog
1