FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和8位LED数码管显示的QUARTUS II 12.0工程文件,包括完整的设计文件.V源码,可以做为你的学习及设计参考。 module ds18b20lcd1602display ( Clk, Rst, DQ, //18B20数据端口 Txd, //串口发送端口 LCD_Data, //lcd LCD_RS, LCD_RW, LCD_En, SMData, //数码管段码 SMCom //数码管位码 ); input
基于战舰STM32的1602液晶驱动程序+ds18b20温度显示- 基于战舰STM32的1602液晶驱动程序 0 2015-02-05 基于战舰STM32的1602液晶驱动程序\CORE 0 2015-12-01 基于战舰STM32的1602液晶驱动程序\CORE\core_c...
2019-12-21 21:56:59 3.04MB ds18b20 stm32 lcd1620
1