IRUN最简的简介,包含了非常有用的option介绍
1
Ncverilog使用 IRUN使用手册
2021-09-23 22:02:28 19KB IRUN
1
这是irun 2012年的用户使用手册,介绍了irun的compile、run的option
2021-09-02 10:14:09 963KB irun
1
英文版,irun使用手册,仿真过程中的编译工具,来自于cadence公司。irun支持各种源程序文件输入,verilog,systemverilog,VHDL,verilog AMS,VHDL AMS,specman e,和其他语言程序编写的文件如C,C++,并且使用合适的编译器对其进行编译。当输入的文件,都编译完毕后,irun自动启动ncelab,去elaborate,产生snapshot,最后启动ncsim仿真器去仿真snapshot。
2021-07-09 19:48:52 677KB 仿真工具
1
cadence 公司 irun用户手册,快速入门,Product Version 12.1 June 2012
2020-01-29 03:04:46 944KB cadence verilog irun simulate
1