Vivado IDDR与ODDR原语设计,Vivado仿真工程
2021-03-18 09:16:24 140KB FPGA VerilogHDL IDDR ODDR
使用verilog实现的rgmii接口转换时序,将在上升沿和下降沿同时传输数据转换为上升沿数据
2019-12-21 21:20:05 12KB rgmii fpga verilog iddr
1