本文简要描述基于万兆网的GigE Vison IP设计方案。 一、GigE Vsion协议要点 GigE Vison协议基于普通的以太网物理链路,运行在UDP协议层之上,包含控制协议GVCP和数据流协议GVSP两大部分,整个层次结构如下图1所示。 图1 GigeVison协议层次结构图 GigE Vison协议的要点如下: (1)上电或复位完成后必须先进行IP配置和设备枚举,必须支持DHCP和LLA(Auto IP)两种IP配置方式; (2)在UDP层上建立应答握手机制以保证传输,GVCP采用3956端口,数据长度必须以32bit为边界,数据不可分包传输; (3)设备必须支持心跳功能以确认处于连接状态; (4)支持控制(1个)、数据流(1~512个)和消息(0~1个)三种通道,每个通道分配不同的UDP端口,控制通道支持三种不同的访问权限; (5)必须支持最小规模的ICMP(GigeVsion要求必须支持Ping命令); (6)GVSP的数据包以字节为边界,数据包的大小由第一个有效的test packets决定,支持错误恢复
2024-03-30 15:48:02 212KB FPGA FPGA
1
2.0.3版GigE_Vision协议文档,官网上可下。国内几大摄像头厂商,基本遵循该协议,做了部分封装。网上也可以下载对应各厂家提供的开发SDK。若自己封装开发,还是的遵循该协议,一点点消化,一点点实现吧,有耐心一般都能搞定,祝大家好运 资料共享,上传了供大家查看。
2024-01-15 21:56:18 3.04MB
1
2023-02-24 08:56:51 454KB GigE-Vision
1
gige_vision2.0,全中文翻译 包涵全部章节,页数在312页,142934字 以pdf格式 具体以英文原版为主,个人翻译可能会有错误 错误可以私信我,进行改正 已创建完整详细目录,可以从目录跳转至所需章节
2022-11-11 13:27:51 6.75MB GigEVision
1
该程序为利用matlab控制以太网口相机自动采集图像时的延时时间计算程序
2022-10-30 14:59:22 2KB gige matlab__相机自动采集
1
工业相机接口:gige vision 版本1 GigE Vision是一种基于千兆以太网通信协议开发的相机接口标准。在工业机器视觉产品的应用中,GigE Vision允许用户在很长距离上用廉价的标准线缆进行快速图像传输。它还能在不同厂商的软、硬件之间轻松实现互操作。
2022-10-14 18:16:52 1.68MB 工业相机 网口 gige vision
1
GigE传输协议-UDP传输 GigE Vision 在 transport layer使用UDP(User Datagram Protocol),而不使用一般的TCP/IP(Transmition Control Protocol) UDP和TCP的差异在于TCP用Hand-shaking和重送的方式,以保证资料没有在传送时遗失。
2022-08-12 13:43:25 4.98MB 网口相机
1
GigE Vision 文档 用于开发工业相机
2022-07-14 11:01:35 144.97MB 机器视觉
1
硬件 (r1.0) ECP5 25F/45F/85F(BGA381封装) USB 2.0 高速 (480 Mbit/s) 千兆以太网 高达 8Gbit DDR3L RAM(双列) 128Mbit QSPI FLASH 存储器(比特流 + 用户存储) MicroSD 插座(4 位 SD 接口) 板载振荡器: 60兆赫 25 MHz (RGMII PHY) 0.1" 间距 JTAG 连接器 7x 用户 RGB LED 2 个用户按钮 2 个标准SYZYGY 兼容连接器 可调 VCCIO 1.2V-3.3V 多达 32 个单端 I/O 10个差分对 专用时钟输入引脚 1x 收发器SYZYGY 兼容连接器 可调 VCCIO 1.2V-3.3V 多达 14 个单端 I/O 2x/4x 通道 TX (5 Gbps SERDES) 2x/4x 通道 RX (5 Gbps SERDES) 1x 参考时钟输入 板尺寸:80mm x 49mm 更多详情、使用方法,请下载后阅读README.md文件
2022-07-05 09:06:46 29.46MB html 接口 文件
GigE Vision Spec
2022-05-20 20:08:04 2.89MB GigE Vision
1