使用现场可编程门阵列来实现了反正切函数,使用16次迭代的cordic算法来实现三角函数,有一定的误差,如果想把精度提高,自己可以加多迭代次数
2023-02-15 11:10:32 9KB FPGA
1
自写数学处理函数(asin,acos,atan),鉴于有些芯片上不支持标准数学函数库<math.h>,可使用软件处理。
2021-10-08 16:03:22 3KB asin acos atan
1
通过 CORDIC 算法计算 sqrt (x^2 + y^2) 和 atan (y/x)。 此函数执行 9 次迭代
2021-09-19 01:11:48 2KB matlab
1
采用优化的单片机查表法计算atan角度的方法,重点解决了几个问题: (1)且用asin曲线计算代替难以描述的atan曲线,快速开方函数 (2)全部采用整形运算,加快了运算速度,返回的角度范围是0~360.00,包含4个象限,输出角度值 (3)asin曲线使用了一半的曲线,解决了asin邻近90度时十分陡峭的难题。本代码稍微加以修改也可以作为asin和acos的计算。
2021-08-01 15:58:38 3KB atan asin 反三角函数 C语言
1
基于Verilog的cordic反正切FPGA例程,仅作学习使用。
2019-12-21 20:00:44 11.07MB atan fpga
1
本文是根据Cordic算法原理,利用二分法思想,完成对任意输入值求反正切的Verilog编写及Matlab程序仿真,并且自创扩展到四象限,可以求(-180~180)全相位的反正切值,是求反正切非常实用的手段。
2019-12-21 19:39:30 230KB Cordic atan verilog matlab
1