APB总线协议转I2C总线协议的接口IP,verilog代码实现,包含详细testbench
2022-11-02 20:34:45 434KB apb_i2c_verilog apb_verilog apb_iic_pudn apbi2c
1