时序检查中的通知(notifier)(续) 可以说明并使用一个notifier来显示时序不满足(violation) $setuphold( ref_event, data_event, s_limit, h_limit, NOTIFY); notifier是可选的 notifier是一个1位的寄存器 时序检查产生violation时,Verilog报告信息并使notifier翻转 当时序violation产生时,可以用notifier使输出变为未定义值。 有两种方法使notifier影响输出值 将notifier作为UDP的一个输入端口 在高级行为模块中,不需要为notifier声明一个端口也可以对其进行操作。
2022-02-07 02:03:46 69KB Verilog_关键概念总结
1