PID算法用verilog语言实现,实测可用,由三个模块组成
1
PID控制器IP核执行数字比例积分微分控制器(PID控制器)算法,该算法首先计算测量值之间的误差 (PV)及其理想值(SP),然后使用误差作为参数来计算操作值(MV)。 将调整过程以最小化误差,可用于计算PWM的占空比(脉冲宽度调制)。
2021-09-28 09:46:06 376KB verilog pid
1
该代码为读者展示了一个用Verilog编写的PID温度控制的例子,意在给大家提供纯Verilog编写的PID控制的代码参考,代码中的注释也希望可以帮助大家对PID的Verilog实现有更好的理解。
2021-06-03 13:26:56 4KB FPGA Verilog PID 温度控制
1
用Verilog代码编写的PID控制,适用于FPGA中,资源保证真实,大家快快下载
2019-12-21 22:13:37 8KB Verilog,PID
1