FPGA Salve iic verilog 程序
2023-02-28 13:46:11 1.16MB verilog slave iic
1
Verilog IIC程序,RAM接口,方便调试,一主多从
2022-09-23 22:00:41 1KB iic iic__ram iic_verilog verilog_iic
利用VIVADO编程软件,用VERILOG实现蓝牙控制IIC传输信号
2021-12-02 10:13:14 1.8MB VERILOG IIC 蓝牙
1
1、基于IIC协议,采用verilog编写AT24C16驱动程序。 2、实现功能:在AT24C16的地址0~99之间顺序写入数据0~99,然后在读取出来,读取的数据通过串口调试助手显示出来。 3、测试平台Quartus 17.1
2021-10-04 19:36:02 731KB FPGA Verilog IIC AT24C16
1
本代码展示了iic通信协议的veriog实现,并成功控制PCF8591(AD_DA芯片)成功DA输出。相关说明见我的博客—— IIc通信协议之(二)——PCF8591控制。
2021-05-24 01:47:34 10.95MB iic通信 PCF8591 Verilog之iic
1
用Verilog实现I2C协议,有主机从机的代码,以及顶层模块和测试模块
2021-04-15 11:20:34 35KB Verilog IIC
1
用verilog编写的基于PCF8591的AD采样程序,已经编译通过,并包含数码管显示模块(0~3.3V),以及将采集到的8位数据通过串口传输的功能
2019-12-21 19:23:07 4.15MB FPGA verilog PCF8591 IIC
1