基于VHDL语言与EDA交通灯控制器设计。
2022-12-04 20:35:10 190KB VHDL 交通灯 控制器
1
为便于查找该路径中的文件名以中文命名,需改为纯英文即可调试仿真!
2022-12-04 16:50:40 33KB VHDL 交通灯控制器
1
学校的课程设计要求,提供资源仅供参考。本课设基于FPGA和verilog-HDL语言进行设计。首发原创!
2022-05-10 10:21:33 39KB VHDL 交通灯控制器
1
当有人按下此按钮时,主干道变为黄灯,设置计数器计时时间为X秒;X秒过后,主干道变为红灯,计数器继续计时(计时时间为Y秒),在Y秒内若有人再次按按钮,计数器不重新计时。所以选择了状态机设计方法输入方式的设计。因为状态机可以很好的解决该功能的要求,即输入控制信号不会改变系统固有的循环方式,只能在设计的状态顺序下改变状态。
2022-04-19 12:03:40 141KB vhdl
1
基于vhdl交通灯控制器的设计和实现说明书.pdf
2022-01-18 13:05:47 207KB 资料
基于vhdl交通灯控制器设计与仿真说明书.pdf
2022-01-18 13:05:46 187KB 资料
基于vhdl交通灯控制器设计说明书.pdf
2022-01-18 13:05:45 197KB 资料
:传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。 ~~~~~~~~~~~~~~~~~~~~~· 非常详细的设计过程,仿真图,设计思路,代码
2021-12-05 23:23:40 446KB VHDL,交通灯控制器
1