一个用VHDL写的拔河游戏机,在MAXPLUS2.0软件上写的,在硬件箱上模拟实现,很好玩的。
2021-12-29 15:55:40 6KB VHDL 拔河游戏机
1
数字电路实验综合版 希望可以有用 是倒计时后加一个功能
2021-11-17 20:37:37 426KB 拔河游戏机
1
用VHDL语言编写程序,实现程序可下载到电路板中,实现拔河游戏,三局两胜制
2021-06-07 17:17:16 703KB VHDL拔河游戏机
1
很好的quartus ii工程,包括拔河游戏机一切的功能
2019-12-21 22:17:27 485KB fpga vhdl 拔河游戏机
1