基于FPGA的VGA驱动
2023-06-07 22:47:53 4.18MB VGA驱动
1
内部包含word和ppt文档并且里面还包含了各种模块的代码。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。
2022-12-27 09:04:05 49.73MB fpga
1
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgacore is Port ( clk : in std_logic; reset : in std_logic; md : in std_logic_vector(1 downto 0); hs : out std_logic; vs : out std_logic; r : out std_logic_vector(1 downto 0); g : out std_logic_vector(2 downto 0); b : out std_logic_vector(2 downto 0) ); end vgacore;
2022-05-15 20:01:20 8KB FPGA VGA 驱动 VHDL
1
嵌入式linux系统开发,LCD驱动开发程序。基于FrameBuffer的底层驱动开发
2022-05-06 15:27:46 1.96MB 嵌入式linux
1
Y430_WIN7_NV_VGA.exe
2022-04-30 20:00:52 71.71MB 源码软件 Y430 NV_VGA 驱动程序
神基科技 Getac VGA驱动安装文件zip,神基科技 Getac VGA驱动安装文件
2021-09-13 11:54:07 20.52MB 软件
1
AD驱动 tw2815/tw9910/tw2865/tw2866/al244/sv2825 VGA驱动tvp5715
2021-08-17 02:46:14 11KB AD vga
1
USB3.0转VGA驱动 显示msusb设备 芯片HU93a
2021-06-30 17:01:55 6.75MB 驱动程序
1
视频控制器vga兼容驱动程序可以解决解决立显卡无法识别和视频控制器出现了感叹号的问题,当你的视频控制器vga兼容出现感叹号的时候证明相应的驱动没有安装,这个时候系统是无法正常工作的,安装一下本站提供的视频控制器vga兼容驱动程序就可以完美解决了。使用,欢迎下载体验
1
USB转VGA最新驱动 USB转VGA最新驱动 USB转VGA最新驱动 USB转VGA最新驱动
2021-06-12 11:38:25 7.46MB USB转VGA驱动
1