1.领域:FPGA,CNN卷积神经网络 2.内容:题目,vivado2019.2平台中通过verilog实现CNN卷积神经网络包括卷积层,最大化池化层以及ReLU激活层+操作视频 3.用处:用于CNN卷积神经网络算法编程学习 4.指向人群:本科,硕士,博士等教研使用 5.运行注意事项: 使用vivado2019.2或者更高版本测试,用软件打开FPGA工程,然后参考提供的操作录像视频跟着操作。 工程路径必须是英文,不能中文。
2022-06-08 12:05:19 29.36MB CNN卷积神经网络 FPGA ReLU激活层
verilog实现卷积神经网络CNN,包括卷积层,Relu激活层,FC全连接层,pool池化层,输入图片需要满足28*28
2022-04-22 12:05:31 7KB cnn fpga开发 人工智能 神经网络