基于FPGA边缘识别算法的Verilog代码实现 灰度值转换 3*3矩阵生成 Sobel算法实现 ,详细讲解可参考本人博客本文链接:https://blog.csdn.net/weixin_44580647/article/details/106658899。本工程在Quartus13.0 编写 ,其它版本只需要对IP核重新编辑,不用修改内容,直接点结束即可编译。
1