多功能波形信号发生模块程序设计  PIC单片机的CPP模块工作在PWM方式下时,可以产生宽度和周期均可编程决定的PWM波形。PlC16F877单片 机内部集成两个CPP(捕捉/比较/脉宽调试PWM)模块,当它工作在PWM方式下时,具有两个脉冲宽度调制输 出通道。   当CCP1工作在PWM方式下时,RC2/CCP1引脚上可以输出分辨率为8bit或1 Obit的PWM波形,此时必须将 TRJSC寄存器中的bit2清0,以设置RC2/CCP1引脚为输出状态。   1.PWM模式结构、波形   PWM模式的结构框图如图1所示。   PWM输出波形有两个参数:周期和工作周期,如图2所示。  
2022-05-29 09:18:51 134KB PWM信号发生模块的设计思路 其它
1