针对车载和机载卫星导航系统的数据采集问题,采用以现场可编程门阵列(Field Programmable Gate Array,FPGA)为平台的GPS导航系统数据解析方案。该设计以NMEA-0183协议的数据格式为基础,循环判断报文头、定位状态、校验位和结束位标志,根据逗号计数器的值决定提取所需要的导航信息,直至完成正确的解析。用Verilog HDL硬件描述语言完成了代码设计,并在FPGA内部生成硬件电路。仿真与硬件测试结果均表明该设计可提取导航系统中的定位信息。
1