HDB3编解码,ise工程文件,已实现,module HDB3_jiema(data,HDB3,clk ); output data; input [1:0] HDB3; input clk; wire [1:0] HDB3,out; moveVB module1(out,HDB3,clk); switch_yima module2(data,out,clk); endmodule
2022-06-14 17:46:47 258KB HDB3编解码
1
基于CD22103的AMI_HDB3编解码电路设计;基于CD22103的AMI_HDB3编解码电路设计
2022-05-13 01:07:20 454KB cd22103 hdb3
1
摘要:HDB3(三阶高密度双极性)码具有无直流分量、低频成分少、连零个数不超过3个、便于提取时钟信号等特点。通过对HDB3编解码原理进行分析和研究,提出一种基于FPGA的HDB3编解码实现方法,给出Verilog HDL语言的实现方法和仿真波形,完成硬件电路的设计和测试,采用该方法设计的HDB3编解码器已应用于相关实验设备中。   1 引言   数字通信系统的某些应用可对基带信号不载波调制而直接传输,其中传输线路对码型的要求如下:信码中不宜有直流分量,低频分量应尽可能的少,码型要便于时钟信号提取。根据这些要求,ITU-T(国际电联)在G.703建议中规定,对于2 MHz、8 MHz、32
2021-06-28 16:27:58 580KB 利用FPGA实现HDB3编解码功能
1
初学者比较适合!因为程序很简单还有注释,希望可以帮到大家的忙!多多下载啊
2020-01-03 11:35:08 2KB HDB3
1
本资源是本人毕业设计部分代码,已通过Cyclone II开发板验证,实现了HDB3码的编码及译码功能
2020-01-03 11:28:09 49KB FPGA VHDL
1
用maxplusⅡ软件编写程序,将随机产生一串长度为50的2进制序列,按照HDB3码的编译规则进行编码和译码,并生成相应的电路图。
2019-12-21 20:58:58 2.01MB maxplusⅡ, hdb3,编码,译码
1