本文介绍基于FPGA的频率计的设计与实现 可测频率范围为0—100MHz
2022-05-16 17:02:59 149KB FPGA 频率计
1
基于FPGA的频率计程序,原理图,经上机测试,绝对可用。
2022-05-13 14:54:17 26KB FPGA频率计
1
基于FPGA的频率计 verilog HDL
2022-05-10 13:56:48 2.04MB FPGA 频率计
1
48Mhz测量12Mhz以下频率,并通过数码管显示
2022-05-10 09:37:03 15.03MB FPGA 频率计
1
FPGA频率计 Vivado工程 Verilog代码 基于Xilinx FPGA
2021-12-13 14:02:22 8.34MB fpga
以成功调试的FPGA等精度频率计,开发板资源有限,只能调试出一位小数点,只要板子的资源够,直接扩大位数即可精确到多位小数
2021-10-29 20:26:31 20.69MB FPGA 频率计 等精度
1
通过FPGA测量一个信号的频率,然后将数据串行输出,供单片机读取和显示
2021-09-02 10:49:35 3.62MB FPGA
1
此设计是基于FPGA的频率计设计。压缩包里有详细的项目和设计文档。硬件资料将在“我的资料”里上传。仅供大家下载参考学习。
2021-08-31 15:34:46 3.77MB FPGA、频率计
1
本设计使用VHDL语言写的,并在QuartusII 12.0上进行了仿真实验,并在A-C5FB开发板上进行验证。
2021-07-30 20:50:16 801KB VHDL FPGA 频率计
1
基于Altera FPGA的频率计源程序
2021-06-20 16:28:20 451KB Altera FPGA 频率计 源程序
1