针对电荷耦合器件CCD在进行图像扫描时需要稳定的外部驱动电路支持才能工作,本文介绍了利用Verilog HDL(硬件描述语言)编写TCD1501D型号线阵CCD驱动时序的实现方法,并对工作时序做了分析,还详细介绍了用Verilog HDL完成驱动时序的源代码,最后利用Modelsim进行仿真验证。
2021-11-12 09:58:47 505KB FPGACCD
1