基于FPGA的二值图像连通域标记快速算法实现课本中的源码
2022-06-17 20:01:10 34KB 连通域FPGA 连通域 fpga图像 FPGA连通域
1
多目标连通域识别,verilog源码识别,附带仿真测试工程,占用2k左右资源,十几行ram缓存,经过测试可以识别出图形中目标,适合在ISE工程中使用
2021-09-08 15:27:20 1.61MB FPGA
1
基于FPGA的连通域的源代码,语言为VHDL
2021-07-18 16:05:50 559KB FPGA
1