1.设计制作一个自动售货机控制系统。 2.该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 3 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 4 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 5 系统自动的计算出应找钱币余额、库存数量并显示。 数码管显示,默认显示四种商品库存;购买状态,左边第一位数码管显示商品编号、第二三位数码管显示商品的单价、第四位数码管显示商品的剩余库存、第五六位数码管显示找零的钱币,每个部分用数码管的dp点分隔开。正点原子开拓者V1工程,有单独的源程序文件和仿真文件
2023-04-18 22:22:58 7.92MB 基于FPGA自动售货机 Verilog
1
基于FPGA的开发技术,设计的是一个自动售货机控制系统,文中详细阐述了设计的思路。
2021-09-15 18:13:47 546KB FPGA 自动售货机 控制系统
1
本文采用VHDL作为工具描述了自动售货机控制模块的逻辑控制电路,并在FPGA上实现。该自动售货机能够根据投入硬币额度,按预定的要求在投入硬币大于规定值时送出饮料并找零。
2021-09-14 09:08:42 80KB VHDL FPGA 自动售货机 文章
1
基于FPGA自动售货机毕业论文,原文word版本,使用官方查重14.9%(与知网差不多),完整论文可以拿来直接用,也可进行修改使用,货真价实!
2021-09-08 09:09:20 896KB FPGA自动售货机
东南大学 信息学院 短学期 数字系统设计 源代码 FPGA 自动售货机
2021-07-15 09:27:28 853KB FPGA
1
基于FPGA的自动售货机系统设计,是一篇毕业设计。内容详尽。
2021-06-06 12:39:34 933KB FPGA 自动售货机
1
上海交通大学FPGA实验,实现自动售货机代码 设计一个简单的自动售饮料机的逻辑电路。它的投币口每次只能投入一枚五角或一元的硬币。投入一元五角钱硬币后机器自动给出一杯饮料。投入两元(两枚一元)硬币后,在给出饮料的同时找出一枚五角的硬币。 实验扩展要求 1、用数码管显示输入的金额,以及要找出的金额。 2、多物价系统。具体要求如下: 两个按钮,表示5角硬币和1元硬币。 可无限投入硬币,数码管动态显示当前金额(带小数)。 3个按钮,代表3种饮料:可口可乐2元,午后红茶3.5元,乌龙茶3元。 每种饮料初始存货各5罐。 当按下某种饮料按钮后,如果投入钱币金额足够,则减去相应的金额,并以数码管显示应找的钱币数目;如果不够,显示饮料价格并闪动,持续2秒,然后仍然显示当前金额;如果饮料数目不够,用数码管显示。当按下退币按钮后,显示应找的钱币数目。
2021-06-05 19:28:52 944KB FPGA 自动售货机 大作业 上海交通大学
1
设定好商品,按键1选择商品,按键2、3、4为投币,再次按下按键1购买,然后再数码管上显示余额,若不够买,则显示ER
2019-12-21 21:16:09 976KB FPGA 自动售货机
1
数字电路实验自己选的大作业 基本功能: 数码管显示当前余额 投入五角的硬币和一元的硬币 有三种饮料:可乐2元,茶3元,牛奶3.5元,每种饮料初始存货有限,共有5瓶。并且能用LED灯看出饮料的存货剩余。当没有存货时,当前饮料对应的灯灭。 当购买相应饮料时,数码管显示购买后的余额。 当饮料存货不足但仍点击购买时,数码管闪烁“FFF.F”2秒左右,不再购买点击确定键可以看到当前余额。 当余额不足时:数码管闪烁当前饮料的价格2秒左右,不再购买点击确定键可以看到当前余额。 找零功能:找零时,先显示当前余额,再次点击数码管显示余额为0;
2019-12-21 20:54:03 680KB verilo basys2 fpga 自动售货机
1
1.设计制作一个自动售货机控制系统。 2.该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 3 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 4 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 5 系统自动的计算出应找钱币余额、库存数量并显示。
2019-12-21 20:11:03 68KB FPGA 自动售货机 控制系统
1