用verilog语言实现流水灯的从左到右的控制,从pll到time_en到water_led的控制连线过程,较为详细的介绍了新建verilog语言。
2023-01-08 10:14:30 952KB fpga 流水灯 verilog
1
FPGA流水灯及led8段数码管
2022-06-17 09:09:14 21KB 代码
1
本设计基于超高速硬件描述语言VHDL在Altera公司的实验箱主控板上编程实现,主要由状态机完成对彩灯的控制,并有分频模块分别控制彩灯的循环及数码管的扫描计时,完成彩灯的自动循环、手动控制、清零、及定时功能。
2022-05-11 08:44:40 4.17MB FPGA 流水灯
1
基于zynq7010(zybo)板,四led流水灯。zybo:Zynq—7000 ARM,FPGA SoC训练板
2022-03-05 21:15:58 767KB zybo zynq7010 FPGA 流水灯
1
fpga的一个流水灯实验,源代码和测试代码都有,verilog语言编写,经过仿真和测试的
2021-12-23 14:39:02 670B fpga
1
Verilog语言编写的基于FPGA的简单流水灯,最适合初学者参考
2021-05-29 04:03:04 260KB verilog FPGA 流水灯
1
FPGA基础实验,正弦信号及噪声的产生,流水灯实现,基于Quartus II 9.1
2021-05-20 14:59:18 844KB FPGA 流水灯
1
基于fpga的流水灯实现,代码是verilog HDL语言编写,平台是space6
2021-04-20 13:59:54 3KB fpga
1
VHDL FPGA 流水灯程序(quartus)
2021-01-28 15:57:00 1.68MB VHDL
1
FPGA verilog HDL 语言 实现流水灯模块,有引脚接口 文件分主副文件
2019-12-21 21:47:34 3MB 流水灯 FPGA FPGA流水灯
1