设计采用Altera公司CycloneII系列EP2C5Q208作为核心器件,采用直接数字频率合成技术实现了一个频率、相位可控的基本信号发生器。该信号发生器可以产生正弦波、方波、三角波和锯齿波四种波形。仿真及硬件验证的结果表明,该信号发生器精度高,抗干扰性好,此设计方案具有一定的实用性。
2022-11-10 10:45:50 901KB FPGA 波形信号发生器 DDS
1
详细介绍了多功能发生器的设计思路、设计模块还有各个模块的程序。。是非常棒的资源。。
2022-05-30 19:36:45 51KB FPGA 波形发生器
1
系统基于DDS(直接数字频率合成技术),以FPGA和单片机为控制核心,与外围电路连接,构成了一个多功能信号发生器。其中包括正弦波、三角波、锯齿波、方波,乃至任意波形的产生
2022-05-11 17:18:46 407KB DDS,FPGA,波形发生器
1
DDS直接数字式频率合成器(Direct Digital Synthesizer),相信所有人看到这个名字就觉得不会陌生。有些资料讲述的方式太高大上,不少人一时半会接受不了。本篇文章从双口RAM入手,由浅入深脱掉DDS高大上的外衣。 两个关键术语:a. 相位累加器:Phase = Phase + freq_ctrl,可以暂且理解为i = i + 1一样的东西。b. 频率控制字:freq_ctrl,这个东西的值直接影响输出信号的频率。 假设系统工作时钟(查表时钟)为150MHz,ROM表深度为4096,存储波形为1个周期(如正弦波每周期抽样量化为4096个点),也就是一个周期的波形由4096个采样点组成,意味着输出波形一个周期最多4096个采样点。比如Data输出10M的正弦波,输出的正弦波每周期只有15个采样点;而输出1M的正弦波,每周期将有150个采样点;我们也可以知道当输出频率小于等于36.621KHz时,输出波形每周期由4096个点构成。输出信号的每周期点越多,阶梯效过越不明显,经过低通滤波器后波形越好看。 如果freq_ctrl为1时,那么输出信号为150MHz/40
2021-10-13 15:40:01 79KB FPGA 波形 文章 软件开发
1
在学习verilog之前,我们先学习一下D触发器以及它的代码。
2021-10-12 19:45:28 110KB FPGA 波形 文章 单片机
1
有时候需要画处数字波形时序图,方便学习或调试的时候使用。 从网上了解到了集中画波形时序位图的方法,有使用Excel的,有使用Viso的,还有使用TimeGen的,还有使用TimingAnalyzer的。 这么这次就先来尝试一下Excel吧。
2021-08-26 14:17:03 15KB FPGA 波形图 数字时序图
1
TimeGen绘制波形
2021-07-23 13:02:27 1.24MB FPGA-波形绘制
1
大二上写的小程序,波形、振幅、频率可以切换。后期有完善的版本,有需求的再联系我。
2021-05-09 05:23:36 834KB FPGA 波形发生器
1
好的内有VHDL 语言 任意波形发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波等多种波形的电路。本设计是基于FPGA芯片设计的多功能任意波形发生器。
2021-04-29 20:37:33 1.18MB FPGA 波形发生器
1