黑金FPGA开发板有verilog代码,讲解关于时钟和信号的代码
2021-12-15 19:38:58 35.11MB 黑金FPGA verilog
1
飓风cyclone FPGA开发板verilog逻辑例程Quartus工程源码文件(16例): low_cost_lcd S1_38yima S2_div S3_WAVE S4_LCD_V S4_LCD_VHDL S5_UART S6_VGA S6_VGA_change S7_PS2_LCD S7_PS2_RS232 S8_test T1_SW_PB T2_USB_IN T3_USB_OUT T4_LED_RUN 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序可以在VGA显示器上以800x600分辨率显示方波示例和字母示例 3。具体设计参考代码。 `timescale 1ns/1ns module UART_tb; wire tbre; wire tsre; wire sdo ; wire rxd; reg [7:0] din; reg rst ; reg clk16x ; reg wrn; reg rdn; wire [7:0] dout; wire data_ready; wire framing_error ; wire parity_error ; uart PC (.dout(dout), .data_ready(data_ready), .framing_error(framing_error), .parity_error(parity_error), .rxd(rxd), .clk16x(clk16x), .rst(rst), .rdn(rdn), .din(din), .tbre(tbre), .tsre(tsre), .wrn(wrn), .sdo(sdo) ) ; uart_if FPGA (.clk(clk16x), .rst_n(~rst), .txd(rxd), .rxd(sdo) ); // Enter fixture code here initial begin din = 0; rst = 0; clk16x = 0; wrn = 1; rdn = 1; end always #10 clk16x = ~clk16x ; initial begin #3 rst = 1'b1 ; din ="R";// 8'b11110000 ; #5000 rst = 1'b0 ; #30 wrn = 1'b0 ; #150 wrn = 1'b1 ; //#4000 din ="r"; // 8'b10101010 ; //#870 wrn = 1'b0 ; //#200 wrn = 1'b1 ; #104000 din ="r"; // 8'b10101010 ; #870 wrn = 1'b0 ; #200 wrn = 1'b1 ; #104000 $stop; end always @(posedge data_ready) begin #100 rdn=0; #500 rdn=1; end endmodule // Uart_tb
cyclone4e FPGA开发板 Verilog设计实例例程36个,FPGA芯片EP4CE6E22C8, Quartus13.1工程文件
CYCLONE4 EP4CE10F17C 新起点FPGA开发板Verilog 设计Quartus II工程40个例程源码,包括: 0_uart_top 11_vga_colorbar 12_vga_blockmove 13_vga_char 14_vga_rom_pic 15_lcd_rgb_colorbar 16_lcd_rgb_char 17_top_remote_rcv 18_temp_disp 19_top_dht11 1_flow_led 20_top_cymometer 21_e2prom_top 22_ap3216c_top 23_rtc 24_sdram_rw_test 25_ov7725_rgb565_640x480_vga 26_ov7725_rgb565_640x480_lcd 27_ov5640_rgb565_1024x768_vga 28_ov5640_rgb565_lcd 29_top_sd_rw 2_key_led 30_top_sd_photo_vga 31_top_sd_photo_lcd 32_top_traffic 33_hs_ad_da 34_hs_dual_da 35_hs_dual_ad 36_ov5640_rgb565_yuv_vga 37_ov5460_img_binarization 38_median_filter 39_sobel_edge_dector 3_top_key_beep 40_digital_recognition 41_dual_ov5640_vga 42_dual_ov5640_lcd 4_touch_led 5_seg_led_static_top 6_seg_led_dynamic 7_ip_pll 8_ip_ram 9_ip_fifo FPGA开发板原理图.pdf
XILINX XC6SLX16 Spartan6 FPGA开发板 Verilog设计50个逻辑DEMO源码,包括: 10_ip_ram 11_ip_fifo 12_uart_loopback_top 12_uart_top_rs232 13_rs485_uart_top 14_lcd_rgb_colorbar 15_lcd_rgb_char 16_top_hdmi_colorbar 17_hdmi_block_move_top 18_top_remote_rcv 19_top_cymometer 1_flow_led 20_e2prom_top 21_rtc_lcd 22_hs_ad_da 23_hs_dual_da 24_hs_dual_ad 25_audio_loopbck 26_top_ddr3_rw 27_audio_record 28_top_audio_sd 29_ov7725_lcd 2_key_led 30_ov7725_hdmi 31_ov5640_lcd 32_ov5640_hdmi 33_mt9v034_lcd 34_mt9v034_hdmi 35_top_sd_rw 36_sd_bmp_lcd 37_sd_bmp_hdmi 38_mdio_rw_test 39_eth_arp_test 3_key_beep 40_eth_udp_loop 41_eth_ddr3_lcd 42_eth_vedio_transmit 43_ov5640_hdmi_scale 44_ov7725_hdmi_rotate 45_ov5640_hdmi_yuv 46_ov5640_hdmi_median_filter 47_ov5640_hdmi_img_binarization 48_ov5640_hdmi_sobel 49_dual_ov5640_hdmi 4_touch_led 50_digital_recognition 5_breath_led 6_seg_led_static_top 7_seg_led_top 8_top_traffic 9_ip_pll XILINX XC6SLX16 Spartan6 超越者FPGA开发板原理图_V1.5.pdf
EP4CE6F17C cyclone4e fpga开发板Verilog DEMO例程26个源码Quartus工程文件+说明文档,包括: 01.Quartus下LED流水灯实验.pdf 02.Quartus下按键实验.pdf 03.Quartus下PLL实验.pdf 04.串口收发实验.pdf 05.数码管扫描实验.pdf 06.按键消抖实验.pdf 07.PWM蜂鸣器实验.pdf 07.附加_蜂鸣器播放音乐实验.pdf 08.spi_flash实验.pdf 09.ds1302数码管显示RTC时间实验.pdf 10.I2C接口EEPROM实验.pdf 11.FPGA片内ROM读写测试.pdf 12.FPGA片内RAM读写测试.pdf 13.FPGA片内FIFO读写测试.pdf 14.sd卡读写实验.pdf 15.vga测试实验.pdf 16.sdram读写测试实验.pdf 17.录音与播放例程.pdf 18.SD卡音乐播放例程.pdf 19.字符显示实验.pdf 20.SD卡读取BMP图片显示例程.pdf 21.OV5640摄像头显示例程.pdf 22.彩色视频图像转黑白例程.pdf 23.SOBEL边缘检测例程.pdf 24.AD9238波形显示例程.pdf 25.AD7606波形显示例程.pdf 26.ADDA测试例程.pdf
黑金FPGA开发板verilog例程代码,是关于FPGA的时钟和信号的讲解
2019-12-21 20:59:13 31.25MB 黑金FPGA verilog
1
FPGA开发板Verilog例程(基础+进阶)入门到比较深入学习的都有了
2019-12-21 19:47:06 16.62MB Verilog FPGA 例程 进阶
1