代码实现了FIR时域和频域的实现方法,C语言实现。
2022-07-01 09:45:46 3.84MB FIR数字滤 FIRC实现 FIR源码 FIR时域
1
Verilog-FIR:使用Verilog实现FIR
2021-05-30 17:01:34 713KB matlab verilog fir verilog-fir
1