FPGA读写SDRAM page实验完整Verilog逻辑源码Quartus工程文件, Quartus软件版本11.0, FPGA型号为CYCLONE4E系列中的EP4CE6E22C8,可以做为你的学习设计参考。 // synopsys translate_off `timescale 1 ns/ 1 ps // synopsys translate_on module sdram_top( clk, sdram_100mhz, reset_n, sdram_bank_addr, write_data, read_data, read_req, write_req, rw_ack, bus_signal, init_done, sdram_clk, sdram_data, sdram_command, sdram_address, sdram_dqm ); // 系统信号 input clk; //100M系统时钟 input sdram_100mhz; //100Msdram时钟 input reset_n; //复位信号,低电平有效 // 内部信号 input [21:0] sdram_bank_addr; //读写SDRAM的地址 input [15:0] write_data; //写如sdram的数据 output [15:0] read_data; //从sdram读出的数据 input read_req; //读数据请求信号 input write_req; //写数据请求信号 output rw_ack; //读写应答信号 output bus_signal; //忙信号 output init_done; //初始化完成信号,输出,高电平有效 // SDRAM接口信号 output sdram_clk; //sdram时钟信号 inout [15:0] sdram_data; //sdram读写数据 output [4:0] sdram_command; //cke、cs_n、ras、cas_n、we_n,SDRAM指令信号 output [13:0] sdram_address; //[13:12]BA , [11:0]Addr,SDRAM地址信号 output [1:0] sdram_dqm; //SDRAM数据掩码 //连接线 wire init_start; //初始化开始信号,高电平有效 wire [4:0] sdram_init_command; //cke、cs_n、ras、cas_n、we_n,SDRAM指令信号 wire [4:0] sdram_rw_command; //cke、cs_n、ras、cas_n、we_n,SDRAM指令信号 wire
2021-08-25 13:04:59 7.94MB FPGA读写SDRAM Verilog逻辑源码 EP4CE6E22C8
FPGA读写EEPROM芯片AT24C02实验Verilog逻辑源码Quartus11.0工程文件, FPGA型号为CYCLONE4E系列中的EP4CE6E22C8,可以做为你的学习设计参考。 module iic_com( clk,rst_n, sw1,sw2, scl,sda, dis_data ); input clk; // 50MHz input rst_n; //复位信号,低有效 input sw1,sw2; //按键1、2,(1按下执行写入操作,2按下执行读操作) output scl; // 24C02的时钟端口 inout sda; // 24C02的数据端口 output[7:0] dis_data; //数码管显示的数据 //按键检测 reg sw1_r,sw2_r; //键值锁存寄存器,每20ms检测一次键值 reg[19:0] cnt_20ms; //20ms计数寄存器 always @ (posedge clk or negedge rst_n) begin if(!rst_n) cnt_20ms <= 20'd0; else cnt_20ms <= cnt_20ms+1'b1; //不断计数 end always @ (posedge clk or negedge rst_n) begin if(!rst_n) begin sw1_r <= 1'b1; //键值寄存器复位,没有键盘按下时键值都为1 sw2_r <= 1'b1; end else if(cnt_20ms == 20'hfffff) begin sw1_r <= sw1; //按键1值锁存 sw2_r <= sw2; //按键2值锁存 end end //--------------------------------------------- //分频部分 reg[2:0] cnt; // cnt=0:scl上升沿,cnt=1:scl高电平中间,cnt=2:scl下降沿,cnt=3:scl低电平中间 reg[8:0] cnt_delay; //500循环计数,产生iic所需要的时钟 reg scl_r; //时钟脉冲寄存器 always @ (posedge clk or negedge rst_n) begin if(!rst_n) cnt_delay <= 9'd0; else if(cnt_delay == 9'd499) cnt_delay <= 9'd0; //计数到10us为scl的周期,即100KHz else cnt_delay <= cnt_delay+1'b1; //时钟计数 end always @ (posedge clk or negedge rst_n) begin if(!rst_n) cnt <= 3'd5; else begin case (cnt_delay) 9'd124: cnt <= 3'd1; //cnt=1:scl高电平中间,用于数据采样 9'd249: cnt <= 3'd2; //cnt=2:scl下降沿 9'd374: cnt <= 3'd3; //cnt=3:scl低电平中间,用于数据变化 9'd499: cnt <= 3'd0; //cnt=0:scl上升沿 default: cnt <= 3'd5; endcase end end `define SCL_POS (cnt==3'd0) //cnt=0:scl上升沿 `define SCL_HIG (cnt==3'd1) //cnt=1:scl高电平中间,用于数据采样 `define SCL_NEG (cnt==3'd2) //cnt=2:scl下降沿 `define SCL_LOW (cnt==3'd3) //cnt=3:scl低电平中间,用于数据变化 always @ (posedge clk or negedge rst_n) begin if(!rst_n) scl_r <= 1'b0; else if(cnt==3'd0) scl_r <= 1'b1; //scl信号上升沿
FPGA最小系统板 EP4CE6E22C8(包含原理图及PCB)
2021-07-13 10:05:44 38.16MB FPGA
本资料归于网络整理,仅供参考学习用。如有侵权,请联系删除!! qq:1391074994 这是一个画好的最小系统,AD打开,有原理图和PCB
2021-06-26 09:02:39 1.92MB fpga
1
EP4CE6E22C8 CYCLONE4 FPGA最小系统核心板ALTIUM设计硬件原理图+PCB+AD集成封装库文件,硬件2层板设计,大小为57x54mm,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,可作为你的产品设计的参考。 核心板集成库器件型号列表如下: Library Component Count : 15 Name Description ---------------------------------------------------------------------------------------------------- AMS1117 3.3Voutput,4.3-12Vinput LDO CH340G Cap Capacitor Cap Semi Capacitor (Semiconductor SIM Model) EP4CE6E22C8 CYCLONE IV E FPGA EP4CE6E22C8 144Pins speed 8 Header 20X2 Header, 20-Pin, Dual row Header 5X2 Header, 5-Pin, Dual row LED0 Typical INFRARED GaAs LED OLED_SPI 1.3寸或0.96寸SPI接口的OLED Res2 Resistor SW-PB Switch W25Q64 FLASH XTAL Crystal Oscillator micro USB USB On-The-Go (OTG) Mini-B Receptacle, Right Angle, SMT, 0.80mm (.031") Pitch, Solder Tabs with Back Cover, Recessed Type 有源晶振
其中包含了EP4CE6E22C8N CORE核心板的原理图,绘制好可以直接制板的PCB以及测试程序、BOM单。
2021-04-28 20:46:00 10.1MB 硬件设计
1
cyclone4e FPGA开发板 Verilog设计实例例程36个,FPGA芯片EP4CE6E22C8, Quartus13.1工程文件
本资料包含EP4CE6E22C8的最小系统及一些外围器件的电路图以及PCB图,使用的软件是Altium Designer 。
2021-03-19 20:08:47 162.61MB FPGA PCB
1
FPGA EP4CE6E22C8 DAC7731E AD设计硬件原理图+PCB+集成封装库+BOM文件 ,采用2层板设计,板子大小为100x78mm,双面布局布线.主要器件为 FPGA EP4CE6E22C8,USB转串口芯片CH340G,运放LM393D,16位DAC DAC7731E,DC/DC TPS5430 LDO AMS1117-1.2等。AltiumDesigner 设计的工程文件,包括完整无误的原理图及PCB文件,可以用Altium(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。
本资料包含EP4CE6E22C8的最小系统及一些外围器件的电路图以及PCB图,使用的软件是Altium Designer 。
2019-12-21 20:47:45 164.16MB FPGA EP4CE6E22C8 PCB
1