基于FPGA的信号发生器原理框图如图3-15a所示。硬件电路包括FPGA、按键、7 段 LED 数码管、高速D/A转换器。利用EDA工具软件QuartusII13.0 完成FPGA 内部数字系统设计,使信号发生器达到要求的功能和指标。 图 3-15a 信号发生器原理框图 依次完成以下实验内容 (1)设计固定频率锯齿波发生器,产生固定频率(f=5MHz/256≈19.5kHz)的锯齿波, 原理框图如图3-15b 所示。CLK0 为频率固定的外部时钟,用示波器观测D/A 转换器输出 的波形。 图3-15b 锯齿波发生器原理框图 (2)设计固定频率正弦波发生器,产生固定频率(f=5MHz/256≈19.5kHz)的正弦信号,正弦信号的每个周期由256 个采样点组成。正弦信号发生器的原理框图如图3-15c所 示。系统中需要增加波形数据存储器。 图3-15c 正弦波发生器原理框图 (3)设计DDS正弦波发生器,利用DDS技术实现输出正弦信号频率步进可调。通过 按键KEY0实现输出正弦信号频率从1kHz、2 kHz 、…、10kHz 变化。输出频率采用两位LED 数码管显示。
2023-03-28 22:41:21 15.73MB eda FPGA quartus DDS
1
大四上唐续老师的EDA实验课,包括单片机、FPGA(Verilog和VHDL)、以及SOPC方式实现的三种方式频率计
2022-11-28 23:29:02 9.74MB EDA FPGA 等精度频率计 现代综合实验
1
该设计严格按照现实中的交通灯设计,利用vhdl硬件描述语言实现,设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2、 红、绿、黄发光二极管作信号灯,。 3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5、 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。
2022-11-03 10:53:20 3.33MB vhdl交通灯 fpga
1
电子秒表系统设计,课程设计 毕业论文 EDA FPGA
2022-06-15 16:27:52 177KB 毕业论文 课程设计 EDA FPGA
1
浙江大学韩雁教授的课程教案
2022-05-21 20:43:42 75.69MB ASIC EDA FPGA
1
本文以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ开发软件和GW48EDA开发系统为设计工具设计了一种具有密码输入、数码清除、密码解除、密码设置和密码激活等功能的电子密码锁。
2022-05-17 22:24:46 58KB 密码锁 EDA FPGA 文章
1
基于16x16的点阵显示.课程设计 毕业论文 EDA FPGA
2022-05-09 10:46:48 72KB 毕业论文 课程设计 EDA FPGA
1
通过matlab生成mif文件生成正弦波、方波、锯齿波,并调用ROM.IP核生成仿真输出相应三种波形
2022-05-08 19:06:03 3.68MB fpga开发 matlab EDA/FPGA课程设计
quartusII 13.0破解文件,亲测win10环境可以成功安装,上eda课可以用到的软件,文档是百度云链接。
2022-01-23 17:10:11 11KB EDA FPGA win10 软件
1
本实验指导书是基于ZY11203E 实验系统主板。
2021-12-10 21:44:51 3.1MB EDA FPGA
1