CORDIC算法原理的解释说明,感觉这个写的好不错的,可以看看
2023-01-28 11:38:52 849KB CORDIC 算法原理
1
珍藏cordic算法文档,适合入门。 介 绍 了CORDIC 算法的基 本原 理,分析 了 CORDIC算法的具体计算方法。以计算正弦、余弦为例,给 出了CORDIC 算法的迭代结构流程 ,并 以 Altera 公 司开发 的 ED A 工具 Q uartusII 作为编译 、仿真平台 ,给出用FPGA 实现的硬件仿真结果,选用 Cyclone 系列中的 E PIC6Q240C8 器件,完成了 CORDIC算法的FPGA实现。
2023-01-28 11:37:11 133KB cordic sin cos
1
数控振荡器在数字信号处理中有着广泛的应用。本文研究并实现了基于CORDIC算法的流水线型数控振荡器。仿真和验证结果表明,该方法较之查找表法精度高,且结构简单、耗费资源少,非常易于FPGA实现。
2022-12-29 21:06:33 96KB CORDIC算法 数控振荡器 FPGA 文章
1
在FPGA中将产生的直角坐标数据经过cordic算法,转换成极坐标数据,获得相位数据
2022-11-24 12:24:58 1.45MB cordic
1
本文基于FPGA实现三角函数、反三角函数以及指数函数计算,分别采用了cordic算法和切比雪夫逼近算法,比较了迭代次数达到误差精度10^-6. 建立已知角度θ,求解sinθ、cosθ的数学模型。 建立已知弧度θ,求解arctanθ的数学模型。 建立已知角度θ,求解tanθ的数学模型。 建立已知弧度θ,求解arcsinθ的数学模型。 建立已知指数a, 求解e^a的数学模型。
2022-11-21 08:40:06 654KB fpga 硬件 cordic
1
FPGA应用,Verilog语言实现cordic算法,包括向量式和旋转式另种模式
2022-11-11 23:22:24 3.7MB FPGA CORDIC
1
CORDIC 向量模式 verilog 实现反正切
2022-11-11 23:05:36 4KB CORDIC 向量模式 verilog
1
CORDIC算法原理利用简单的移位就实现,主要用于三角函数、双曲线、指数、对数的计算。基于个人理解,主要对该算法进行简单推导,同时利用matlab进行仿真,并在fpga中实现。可用于计算sin和cos函数,用于DDS的实现
2022-11-04 16:44:23 8.28MB verilog fpga
1
针对传统CORDIC算法流水线结构的迭代次数过多,运算速度不够快,消耗硬件资源较多的缺点,改进了一种基于旋转模式并行运算的CORDIC算法。该算法采用二进制两极编码和微旋转角编码进行低位符号预测和高符号位预测,并且在高符号位预测过程中,对误差进行了校正。在FPGA实现中,采取三段式实现方法,与传统方法相比,有效地减少计算的级数和降低硬件资源的功耗,达到了高速低功耗的要求。
1
为了克服基于传统查表法实现DDS方法占用存储单元多、运算速度和精度较低等缺陷,重点研究并实现了基于CORDIC算法的线性调频信号产生方法。采用Verilog硬件描述语言设计实现了基于CORDIC算法的流水线式直接数字合成器(DDS),结合线性调频信号的相位调制函数,实现了线性调频信号的硬件产生。ModelSim上RTL仿真结果验证了该方法的正确性。
2022-07-15 09:03:40 863KB 工程技术 论文
1