aldec active HDL 培训全套资料文档和例子,aldec active HDL 一个类似于modelsim的仿真工具,其在生成和查看状态机图,代码含概率,波形比较独具特色,可以和ISE等FPGA等工具无缝的连接。
2021-10-31 23:19:19 22.06MB aldec active HDL
1
aldec active HDL 培训资料文档和例子,aldec active HDL 一个类似于modelsim的仿真工具,其在生成和查看状态机图,代码含概率,波形比较独具特色,可以和ISE等FPGA等工具无缝的连接。资料较大这个是第一部分,总共两个部分。
2021-10-31 23:17:43 26.13MB aldec active HDL
1
本文为Aldec的linting工具ALINT-PRO的中文培训材料,由Aldec中国的区域技术经理进行翻译、整理和撰写后发布,包含基本操作、快速上手实验等。
2021-10-20 15:33:07 1.12MB FPGA ALINT EDA工具 Aldec
1
Aldec公司的FPGA验证平台工具Riviera-PRO的技术文档Datasheet
2021-09-27 21:23:01 367KB FPGA Datasheet
1
aldec alint 是一个设计规则的设定与检查的工具,用于规范FPGA的代码编写
2021-09-19 10:30:56 4.92MB aldec alint
1