Active-HDL使用教程——仿真篇
2022-03-08 16:46:01 51KB Active-HDL 使用教程 仿真
1
aldec active HDL 培训全套资料文档和例子,aldec active HDL 一个类似于modelsim的仿真工具,其在生成和查看状态机图,代码含概率,波形比较独具特色,可以和ISE等FPGA等工具无缝的连接。
2021-10-31 23:19:19 22.06MB aldec active HDL
1
aldec active HDL 培训资料文档和例子,aldec active HDL 一个类似于modelsim的仿真工具,其在生成和查看状态机图,代码含概率,波形比较独具特色,可以和ISE等FPGA等工具无缝的连接。资料较大这个是第一部分,总共两个部分。
2021-10-31 23:17:43 26.13MB aldec active HDL
1
Active-HDL 9.1安装时选择评估版安装,安装完成后将破解文件内文件夹文件替换原文件夹文件即可
2021-07-31 12:15:24 4.49MB Active-HDL 9.1
1
Active-HDL是集成VHDL,Verilog,EDIF,System C开发环境。它由设计工具,VHDl&Verilog;编译器,单仿真内核,调试工具,图形仿真和资源、库等管理工具,可让用户运行仿真,综合,实现,以及第三方工具。
2019-12-21 21:43:06 863KB ActiveHDL
1
ACtive HDL 是一款很不错的仿真工具,界面十分友好,很像vc。 网上流传很广的那个vhdl和verilog的英文动画教程就是他们做的。 这是我从网站上辛苦下载的最新的教程。图文并茂,大家不妨看看
2019-12-21 19:53:41 14.61MB Active-HDL 教程
1