AD9957 可以在成本、尺寸、功耗和动态性能均非常关键的通信系统中用作通用 I/Q 调制器和上变频器。AD9957将一个高速、高性能、直接数字频率合成器(DDS) 、 14位数模转换器(DAC) 、时钟乘法器电路、数字滤波器和其它DSP功能集成在一个芯片上。该器件可以在有线或无线通信系统中为数据传输提供基带上变频。
2023-10-08 18:08:09 1.64MB ad9957中文资料,翻译得很好的
1
介绍了ADI 公司具有内部调制功能的高速DDS器件AD9957的特点与应用,并提出了一种全新的高速调制信号源设计方案,给出了硬件结构框图和软件流程,详细介绍了系统工作原理。实践证明,输出正弦波最高频率达400 MHz ,调制波调制速度可达1 MHz。
2023-10-08 18:05:38 1.29MB AD9957 高速调制信号源 DDS
1
用Verilog正确配置AD9957
2022-02-17 10:23:09 1KB 用Verilog正确配置AD9957
1
为了解决基于希尔伯特变换的单边带调制系统中I/Q信号幅度不一致的问题,本文通过理论分析和公式推导的方法得出影响I/Q信号正交性的主要因素是滤波器的阶数,并通过试验分析了不同阶数的滤波器是如何影响I/Q信号的正交性的,得出了滤波器阶数要保证至少覆盖3.7个信号周期才能确保I/Q信号正交的结论。同时给出了在ADSP上实现希尔伯特滤波器的具体流程。此外,给出了基于AD9957的数字正交上变频的设计方法和实现流程。
2022-01-11 17:34:46 840KB 单边带调制 希尔伯特变换 AD9957 ADSP
1
AD9957芯片配置软件,其中是对芯片参考时钟以及数据输入时钟的配置。手册可自行搜索。
2021-09-08 22:51:34 1.16MB AD9957 时钟配置 DAC
1
ad9975资料型号品牌批号封装AD9957BSVZADI14+TQFP100AD9957BSVZ一般的描述AD9957函数作为一个通用I / Q调制和敏捷升频器通信系统,成本、尺寸、功耗和动态性能是至关重要的...
2021-08-31 18:18:24 2.19MB AD9967
1
以软件无线电思想为核心,基于PLD(可编程逻辑器件)的通用调制信号发生器的设计,进一步给出了实现中频USB侧音测距信号的硬件设计及软件的设计思想,仿真结果及片上硬件数据采集结果证明了输出信号的正确性,同时实现了灵活的参数可控性能。
2021-06-09 16:03:20 215KB SoPC
1
ADI数字正交上变频器AD9957初始化Verilog代码。代码简明易懂,完成对AD9957的初始化,经过FPGA板子硬件验证。可根据需要修改寄存器的值,配置成其它的工作状态。 该代码已在多个实际项目中应用,得到充分验证。
2021-03-02 18:01:49 6KB AD9957 Verilog
AD9957 Verilog程序设计参考,在实际应用已验证,其中部分控制信号需要根据实际项目增减,程序中必要处均已添加注释,同样希望其他上传代码的作者也能如此,减小下载者阅读程序的麻烦,此程序也是在网上下载后进行细致的调整和完善,可以直接调用参考,在此也非常感谢原作者。
2019-12-21 20:26:03 7KB Verilog
1