1.查表法8b10b编解码程序。简单仿真过。 2.test8b10b.v为测试程序,encoder8b10b_2.v为编码程序,decoder8b10b.v为解码程序。 3.发送端码表为8b10b.mif或者mem_8b10b.v,接收端码表为decoder3b4b.mif(mem_4b3b.v)和decoder5b6b.mif(mem_6b5b.v)。码表是手动输入的,可能存在错误,但是可能性不大。 4.发送端码表为12位,后10位为r-,高两位为r+与r-的关系。
2023-03-18 19:02:45 22KB 8b10b verilog 查表法 多字节编码
1
8B10B编解码FPGA程序
2022-09-04 11:45:22 69KB 8B10B 编解码 fpga vhdl
1
这是一个用户接口格式为framing格式的aurora协议工程,配合博客使用:https://reborn.blog.csdn.net/article/details/106088264 详细分析在博客上。
2021-12-09 14:10:20 25.37MB fpga aurora gtx Verilog
1
在高速的串行数据传输中,传送的数据被编码成自同步的数据流,就是将数据和时钟组 合成单一的信号进行传送,使得接收方能容易准确地将数据和时钟分离,而且要达到令人满 意的误码率,其关键技术在于串行传输中数据的编码方法。8B10B 作为互连接口的一种编码 技术,设计简单、性能出众,因此成为应用最广泛的技术。然而,它的系统开销高达25%, 问题突出。为了解决这个问题,设计者们一直在探寻改进的方法。本文就将介绍8B10B 码 的编码原理及实现方法,并介绍了一些低开销的编码技术,讨论它们的优势与存在的问题。
2021-09-13 11:15:29 1.56MB 8B/10B 8B10B 编码原理
1
采用Verilog语言编写8b10b编码代码,其基于查找表方法而不是逻辑关系,易于理解
2021-08-16 16:20:00 2KB verilog 8b10b
1
1.查表法8b10b编解码程序。简单仿真过。 2.test8b10b.v为测试程序,encoder8b10b.v为编码程序,decoder8b10b.v为解码程序。 3.发送端码表为8b10b.mif,接收端码表为decoder3b4b.mif和decoder5b6b.mif。码表是手动输入的,可能存在错误,但是可能性不大。 4.发送端码表为12位,后10位为r-,高两位为r+与r-的关系。 5.可以用altera编译,其他需要重新生成rom。 6.在V1版本基础上修改了部分码表错误,仿真正常 7.在test8b10b_zzj中用随机数仿真的
2021-08-15 11:15:40 201KB 8b10b verilog 查表法
1
业界广泛使用的Xilinx公司Virtex-6系列FPGA支持多种高速串行通信协议,本文针对其中较为常用的Aurora 8B/10B和PCI Express 2.0,Serial RapidIO 2.0三种协议进行了测试及对比分析。
2021-08-11 11:14:58 851KB Aurora 8B10B PCIe2.0
1
8B10B源代码, 高级资源, ISE14.7亲试可用。
2021-08-04 17:13:05 36KB 8B10B, 数字通讯
1
1、Xilinx IP PG046 Aurora_8B/10B V11.1英文文档翻译 2、压缩文件包含:PG046官方英文文档、PG046中文翻译Word版本、PG046中文翻译PDF版本。
2021-07-17 09:35:06 7.91MB fpga Xilinx Aurora8B/10b
1
Xilinx aurora 8b10b 协议,半导体行业硬件驱动接口开发编码协议。
2021-07-07 16:54:39 643KB FPGA 8b10b
1