本文档是8线3线优先编码器的设计资料。   实验目的和要求 复习编码器的原理,掌握编码器的设计实现方法,设计实现数字系统设计中常用的8线-3线优先编码器,逐步学会熟练运用MAX+PLUSⅡ或Quartus II软件,熟悉EDA的VHDL程序设计方法、学习掌握组合逻辑电路的VHDL描述方法,进一步掌握应用EDA常用工具进行组合逻辑电路的设计、分析、综合、仿真等的技巧。   二、实验主要仪器和设备 1. 计算机及操作系统 2. MAX+Plus II或Quartus II软件 3. 编程电缆(可选)
2022-05-03 18:34:08 358KB 编码器VHDL组合逻辑电路
1
基于VHDL的83优先编码器的代码,学习VHDL变成的基础。
2021-11-02 23:39:00 26KB 83优先编码器 VHDL
1