五层电梯控制设计毕业设计/论文是本专业教学计划中重要的、最后的一个综合性环节,其主要目标是:培养和提高学生综合运用所学的专业基础理论、基本知识和基本技能来分析、解决实际问题以及动手操作的能力,使得学生初步掌握车间变电所的设计步骤及方法,并学会查阅专业资料;也使学生在思想作风、学习毅力和工作作风上受到一次良好的锻炼,以为学生毕业后尽快适应电气自动化工作打下良好的基础。
2022-05-23 09:30:17 1.34MB 电梯控制
1
基于vhdl三层电梯控制器的设计说明书.pdf
2022-01-18 13:05:48 209KB 资料
基于FPGA的单列十层电梯控制器,上海大学工程教育中级大作业,大二学生能力有限,单模块程序。
2021-12-06 22:05:34 4.93MB FPGA Verilog
1
0 引言   电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,源程序经A1tera公司的MAX+plus II软件仿真,目标器件选用CPLD器件。通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。   1 三层电梯控制器将实现的功能   (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。   (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。   (3)电梯每秒升(降)一层楼。   (4)电梯到达有停站请求的楼层
1
EDA 8层电梯控制器 有完整的仿真波形截图 还有完整的源程序
2021-06-05 18:36:48 1.52MB EDA 8层电梯控制器
1
数字系统设计 四层电梯控制器 VHDL程序 功能强大,自动超载报警,求助报警,手动开关门,优先权正确,本人精心编写,支持CSDN!
1
基于EDA的四层电梯控制器。运用VHDL语言编写,已经调试过,没什么问题。
2021-06-02 10:25:13 668KB EDA 四层电梯
1
经调试可以使用,为本人之前课程设计写的代码
2021-05-28 12:57:17 19.04MB 电梯控制器 vhdl
1
VHDL的课程设计,是一次比较综合的能力锻炼参考文件。值得参考!
2021-05-23 15:20:37 15KB VHDL
1
基于EDA的六层电梯控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号 door : out std_logic_vector(1 downto 0); --电梯门控制信号 led : out std_logic_vector(6 downto 0); --电梯所在楼层显示 led_c_u:out std_logic_vector(5 downto 0); --电梯外人上升请求信号显示
2021-04-21 23:14:48 35KB 控制器
1