4位数据比较器 通过VHDL语言设计出4位数据比较器,了解EDA对数字电路设计的效率和可靠性有极大地提高
简单的四位比较器 帮助快速理解verilog编程原理
2022-03-20 19:47:10 1013B bjq
1
一个较为适合初学者(CPLD\FPGA)的VHDL语言程序
2021-12-07 13:30:45 103KB VHDL
1
八位比较器基于VHDL语言 八位比较器基于VHDL语言
2021-11-18 16:18:53 123KB 八位比较器基于VHDL语言
1
利用quartus18.0软件编译仿真的四位比较器,含测试文件,供学习电子设计自动化(eda)的新人参考
2021-10-14 16:07:58 7.26MB eda quartus 四位比较器
1
八位比较器 代码,仿真。。。。。。。。。。。。。。。。。。。。。。
2021-05-27 10:48:49 42KB 比较器 Verilog
1
运用74ls00、74ls08已经74ls02组成的二位二进制比较器
2021-05-13 22:46:48 30KB 数字电子技术 74ls系列 比较器
1