使用38译码器来驱动数码管来节省IO端口 (1)什么是38译码器38译码器有3个输入端口A、B、C和8个输出端口Y0-Y7。由输入端口控制输出端口的值 (2)为什么要使用38译码器 回想之前的驱动动态数码管的时候,一个段码端口控制显示的数字,一个com端口控制哪个......
2023-03-03 21:34:15 45KB 单片机 接口技术 嵌入式开发 文章
1
两个输入 00 全不亮 01 左3灯循环亮灭 10 右3灯循环亮灭 11 全部亮(刹车灯) 初学者电路
1
38译码器 三人表决器.ms14
2022-05-06 00:18:21 81KB multisim
1
38译码器的几种描述方法 38译码器的几种描述方法 38译码器的几种描述方法
2021-12-03 23:25:47 2KB 38译码器
1
38译码器,书上写的我多见过最简单的一个38译码器,提供给大家参考。
2021-12-03 23:15:34 97B 38译码器
1
三八译码器-38译码器原理图-74ls138译码器.mht
2021-11-29 00:02:16 59KB 74ls138译码器
1
38译码器的代码,51单片机类
2021-11-23 14:08:22 87B 单片机
1
可编程硬件描述语言VHDL Quartus三八译码器源代码.pdf
2021-08-21 09:37:59 163KB VHDL Quartus 硬件描述语言 三八译码器
1
51单片机实现利用矩阵键盘、38译码器控制8位动态数码管显示
2021-07-23 14:01:58 61KB Proteus Keil 51单片机 仿真
1
decoder38.v
2021-04-26 14:04:44 398B 38译码器 verilog
1