在日常的生活中,通信是人们用来传递信息的方式。随着数字系统的飞速发展,对数字系统的性能和调制解调技术要求也越来越高。同时,由于计算技术的发展,通信系统的仿真已日益普遍,已逐渐成为今天设计和分析通信系统的主要工具。 本次设计将使用MATLAB软件设计函数对2FSK调制解调技术进行仿真和研究。 本文在第一章中介绍了通信系统的组成、MATLAB的使用。第二章深入分析了2FSK的调制解调原理理论知识,熟悉了原理后,在第三章中用MATLAB编程进行仿真和研究。本设计主要实现2FSK调制解调过程的仿真。最后一章对数字调制与解调作了一个总结
2022-12-30 16:31:42 3.63MB matlab 调制解调 2FSK 毕业设计
1
2FSK 信号常用的解调方法是采用相干解调和非相干解调。其解调原理是将2FSK信号 分解为上下两路 2ASK 信号分别进行解调,然后进行判决。
2022-10-15 19:05:04 5KB 2FSK matlab
1
2FSK信号的调制与解调的matlab的仿真,包含仿真图和matlab仿真程序
2022-06-24 15:26:10 125KB 2FSK 调制解调 fsk信号 matlab2fsk
1
2FSK调制解调及其仿真[归类].pdf
2022-05-22 19:18:38 281KB
1
2PSK调制解调与误码率分析matlab的程序
2022-05-11 16:37:20 6KB matlab 文档资料 开发语言
1
2FSK调制解调电路设计[借鉴].pdf
2022-03-07 16:43:57 367KB
1
基于FPGA的FSK调制解调器 设计要求: 用Verilog实现2FSK调制和解调; 对任意输入二进制数进行调制,并解调。载波频率自定; 分别对调制和解调结果仿真。
2022-02-14 09:06:44 18.11MB fpga开发
在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开发平台上进行编译仿真,最后在EPM7032LC44-15目标芯片上实现。仿真结果表明此设计方案是可行的,系统具有较高的实用性和可靠性。
1
fpga模仿dds芯片产波,然后调制解调,本程序为2fsk,基带信号为时钟
2021-12-27 23:28:58 8.37MB fpga
1
基于FPGA的2FSK调制解调器设计与仿真
2021-12-27 19:20:06 1.53MB 基于 fpga 2FSK 调制解调器
1