做的比较好 也是自己课设的题目 希望给大家一个参考
2022-05-15 00:06:54 436KB 24秒 计时器
1
24秒计时器 VHDL entity timer is port( clk : in std_logic; rst : in std_logic; pause : in std_logic; hit : in std_logic; sec1 : out std_logic_vector(5 downto 0); sec2 : out std_logic_vector(7 downto 0); sec1_pause : out std_logic_vector(5 downto 0); sec2_pause : out std_logic_vector(7 downto 0) ); end timer;
2021-10-26 16:59:27 2KB VHDL 计时器
1
数电课程设计-篮球12分24秒计时器.pdf
2021-10-09 15:02:18 2.08MB 毫秒计算器
篮球比赛24秒计时器设计报告.pdf
2021-10-09 15:01:58 748KB 毫秒计算器
Multisim14.0篮球24秒计时器,功能齐全,有暂停,连续,置零,灭灯,复位,声光报警等功能
2021-07-12 13:02:42 347KB Multisim24秒计时器
1
24秒计时器
2021-07-12 12:00:12 300KB 数电
1
篮球用24秒计时器设计电路,PROTENS设计的完成图纸,可以直接运行
2021-07-01 10:17:11 126KB 电路设计
1
篮球24秒计时器设计与仿真 篮球24秒计时器设计与仿真
2021-06-03 11:13:33 303KB 数电
1
一、设计内容和要求 (1)具有24秒计时功能。 (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 (3)在直接清零时,要求数码显示器灭灯。 (4)计时器为24秒递减时, 计时间隔为1秒。 (5)计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。
2021-05-14 19:11:25 78KB 篮球 计时器 24s 数电
1
该文档主要是用verilog语言实现篮球24秒计时器,这是我做的数字电子技术课程的一次大作业。 里面为整个文件夹,解压之后可在Quartus13.0上直接运行。
2021-04-19 18:44:08 5.39MB verilog
1